CONNECT WITH US
NEWS TAGGED ASML
Tuesday 18 July 2023
Fab toolmakers see orders contract
Semiconductor equipment suppliers are experiencing a decline in customer orders, which may limit their growth in the second half of this year, according to industry sources.
Thursday 13 July 2023
TSMC fab expansion in Taiwan critical for preserving supply chain advantage
The continuous expansion of TSMC's fab in Taiwan, home to the world's largest pure-play foundry, is essential for preserving the country's supply chain advantages, according to industry...
Thursday 13 July 2023
China AI players eyeing integrated systems as GPU replacement
Under the influence of geopolitical factors, China's AI-related industry is facing the challenge that high-end GPU chips supply is being controlled by overseas supplier. In response,...
Wednesday 5 July 2023
Update: ASML denies considering special-version DUV lithography for Chinese customers
To mitigate the impact of Dutch export restrictions on Chinese chipmakers, ASML's Chinese customers were expecting that the Netherlands-based lithography machine maker may release...
Tuesday 4 July 2023
What is the implication of Netherlands' latest semiconductor export ban on China?
The Dutch Minister of Foreign Trade and Development Cooperation, Liesje Schreinemacher, recently issued new export control regulations for Dutch semiconductor equipment that do not...
Friday 30 June 2023
ASML hit with new Dutch limits on chip gear exports to China
The Netherlands published new export controls that will restrict more of ASML Holding NV's chipmaking machines from being sent to China.
Friday 30 June 2023
IMEC and ASML to develop High-NA EUV pilot line for sub-2nm process technology
Belgium-based Interuniversity Microelectronics Centre (IMEC), a world-renown research and innovation hub in nanoelectronics and digital technologies, signed an MOU on June 28 to intensify...
Monday 26 June 2023
Dongjin Semichem set to develop high-NA EUV photoresists in 2H23
South Korean semiconductor chemical materials maker Dongjin Semichem has announced plans to develop a new generation of high-NA EUV photoresists to meet the demand to result from...
Friday 19 May 2023
Why are Huawei, SMIC taking different approaches against US curbs?
China's tech giant Huawei and top semiconductor foundry SMIC apparently adopt different approaches against US chip curbs. The former has just announced its successful development...
Tuesday 25 April 2023
ASML's lack of orders in 2H24 reveals economic doubts as chipmakers ponder delaying or canceling orders
Lithography equipment giant ASML recently announced its financial report for the first quarter of 2023. At this stage, it still met the market's expectations with a solid performance...
Wednesday 19 April 2023
ASML 1Q23 net sales exceed guidance; US market grows in importance
ASML has published its 2023 first-quarter results on April 19.
Monday 17 April 2023
ASML reportedly sees first big EUV equipment order cut from TSMC
ASML reportedly has seen the first cutback in orders for EUV equipment from TSMC, its largest customer, which market insiders speculate is on track to slash such orders by over 40%...
Monday 10 April 2023
Marketech expects stable revenue growth in 2023
Fab toolmaker Marketech International expects to generate flat or slight revenue growth this year, judging from the NT$60 billion (US$1.97 billion) worth of orders it has on hand.
Monday 10 April 2023
ASML applies for 2nm fab tool R&D subsidies in Taiwan, report says
ASML has filed to Taiwan's Ministry of Economic Affairs for R&D subsidies to fund the development and production of 2nm wafer optical measurement equipment, a report by Taiwan's...
Friday 7 April 2023
US, Japanese, and Dutch semiconductor equipment export values to China dropped; more substantial strategies demanded by expert
Owing to the US-coordinated chip sanctions on China, the US, Japan, and the Netherlands have seen a significant drop in the total export value of semiconductor equipment to China.