CONNECT WITH US
Thursday 20 March 2025
How people are using Discord in 2025
Discord has come a long way from being just a place for gamers to chat. These days, it's a full-on platform for businesses, creators, and even educators. In 2025, people are using it for all kinds of things—some expected, some pretty surprising. Thanks to its easy-to-use interface, automation tools, and the fact that you can set up private communities, Discord has turned into a hub for way more than just voice calls and text chats
Tuesday 18 March 2025
Taiwan to hold hackathon aiming to build exemplary generative AI applications
To cope with the disruptive changes that generative AI is bringing across all sectors, companies today have soaring needs for AI talents with real-world experience, global vision and bilingual proficiency. Following the success of the first AIWave: Taiwan Generative AI Applications Hackathon, held in 2024, DIGITIMES again organizes the 2025 competition with the support from the National Development Council in Taiwan for the purpose of cultivating more world-class AI talents that will invigorate AI development for Taiwan's industries. The 2025 event will take place on April 26-27. Contestants will be able to utilize cloud and generative AI services from Amazon Web Services (AWS), including LLMs such as Amazon Nova, Anthropic Claude 3.7 Sonnet, the generative AI-powered assistant for software development - Amazon Q Developer, as well as the no-code, generative AI app builder - PartyRock. The competition is open to anyone in Taiwan, whether students or professionals.Contestants will be divided into a hacker group and an idea exchange group. They will tackle challenges set by renowned Taiwanese companies including Advantech, Chang Chun Group, Cooler Master, ETMall, Gamania Vyin AI, Taishin Financial Holding, and Walsin Lihwa.To build up competency, DIGITIMES and AWS will also hold preparatory workshops covering enterprise data workshops and generative AI workshops to guide teams in utilizing multi-agent collaboration, multimodal models, and large language model reasoning. A panel discussion on nurturing generative AI talents will also be held alongside AI EXPO 2025 from March 26-28 to broaden contestants' perspectives. There will also be a mentorship session from industry experts. After one month of training, teams will be able to create innovative applications and minimum-viable products in a secure environment using company data."Amid the sweeping generative AI wave, governments and leading high-tech companies around the world are pouring tremendous resources into AI development. To keep up with the strong trend, the Taiwanese government is making efforts toward fostering AI industry growth, strengthening domestic AI research capabilities, promoting cross-border collaborations, and training multi-lingual AI talents. These efforts not only set a clear roadmap for Taiwan's AI development but also signify the Taiwan government's determination to play a part in the global race for AI," noted DIGITIMES.DIGITIMES further commented "By organizing the hackathon and workshops, DIGITIMES aims to help Taiwan's developers and students leverage Taiwan's unique strength to create globally competitive generative AI applications. Taiwan has a well-established high-tech supply chain, an abundance of data resources, and a solid foundation for AI research. It is hoped that through the hackathon, Taiwan will develop innovations that overcome challenges the world faces today and usher in a new generative AI era." AWS remarked "Working with schools, governments and companies through AWS Educate, AWS Academy, Cloud Innovation School, and AWS Training Partner, which are programs for different talent incubation stages, AWS has trained more than 100,000 cloud professionals in Taiwan. For generative AI, AWS also launched AI Ready in 2023, a program that aims to provide free AI training and education resources to two million people around the world by 2025. It also teamed up with online learning platform Udacity to award scholarships to students while working with Code.org to teach students about generative AI.""A new AWS Region is coming to Taiwan in 2025. AWS also plans to invest billions of dollars over the next 15 years in Taiwan to support local digitization and AI developments. AWS hopes that more creative talents will be discovered at the hackathon and that its support will help not only transform Taiwan's industries but also build world-leading Taiwan-based unicorns," added AWS.Online registration for the 2025 AI Wave: Taiwan Generative AI Applications Hackathon is open until March 30, 2025. Both students and professionals are encouraged to register. Click here for more information.
Friday 14 March 2025
TEEMA, GeSI, and Taiwan Mobile to host AI with Purpose Global Summit in Taiwan
Taipei, May 28-29, 2025 – As the world stands at the threshold of a new era, Artificial Intelligence (AI) and emerging technologies are poised to reshape industries, societies, and economies. The AI with Purpose Global Summit, organized by GeSI, TEEMA, and Taiwan Mobile, set to take place at the Taipei International Convention Center (TICC), will bring together global industry leaders, policymakers, and innovators to explore the transformative power of AI in creating a sustainable and inclusive future.AI-driven innovation is accelerating across all sectors, offering groundbreaking opportunities to enhance human well-being, drive economic transformation, and address urgent global sustainability challenges. From climate action to biodiversity protection, smart cities to healthcare, and energy efficiency to responsible consumption, AI is enabling solutions that were once beyond imagination. However, as we embrace AI's potential, we must also navigate the enormous challenges it presents—ensuring responsible governance, ethical safeguards, and accountability frameworks that enable AI to serve both humanity and the planet effectively.Why this summit mattersAI is revolutionizing industries at an unprecedented scale, yet its governance, sustainability, and societal impacts remain key topics for global discussion. The AI with Purpose Global Summit will serve as a critical platform to examine AI's role in:• The Enabling Role and Promise of AI in Sustainability √ How Do We Want to Live? – Showcasing AI-driven solutions that accelerate carbon reduction, resource efficiency, and sustainable transformation.• Digital Infrastructure √ The Backbone of the Modern World – Highlighting the urgency of creating robust digital foundations to enable AI at scale.• Setting a Global Stage√ Framing and mobilizing global governance, policy, and stakeholder activism to shape AI's role in sustainability.At the end of the summit, we are honored to present the Digital with Purpose Global Awards. These awards recognize outstanding solutions that drive meaningful change across three key areas: Education, Smart Cities, and Biodiversity. They celebrate initiatives that promote learning and inclusion, improve urban efficiency in all its dimensions, and connectivity, and protect natural ecosystems.The summit's format, presentations, and discussions will center on practical digital and AI solutions that drive both sustainability and business impact. This is not a broad, informational program on AI, but a focused exploration of its real-world applications and measurable outcomesPlease visit the website for more information about registration, speakers, and program details.Message from Luís Neves, CEO of GeSI, "AI is reshaping our world at an unprecedented pace, offering transformative solutions for sustainability, economic growth, and human well-being. The AI with Purpose Global Summit is a crucial platform to explore how we can harness AI's potential responsibly—ensuring innovation serves both people and the planet. Collaboration across industries, governments, and society is key to building a future that is not only intelligent but also inclusive and sustainable."Message from Richard Lee, Chairman of TEEMA "Taiwan has a strong industrial foundation in AI, digital infrastructure, and sustainable technology. TEEMA represents Taiwan's ICT industry, and through this global dialogue, we aim to seamlessly integrate Taiwan's expertise into the international market, bringing Taiwan's innovations and solutions to the world while exploring new opportunities for AI-driven sustainability."Message from Iris Liu, Vice President of Sustainability, Brand Development & PR at Taiwan Mobile and Board Director of GeSI"Taiwan Mobile became the first GeSI corporate member in Taiwan in 2016 and has actively participated in international sustainability initiatives since joining the Digital with Purpose (DWP) initiative in 2020.As the only corporate member of GeSI in Taiwan, Taiwan Mobile has leveraged its expertise in AI applications to contribute to the development of SMART project frameworks, including the AI Agent Super Assistant, AI Mentor Gold Coach, and AI Customer Service Solutions, in collaboration with global ICT enterprises.Taiwan Mobile has consistently been at the forefront of AI-driven innovation, demonstrating its commitment to pioneering cutting-edge, sustainable solutions. Its contribution to the AI with Purpose Global Summit extends beyond showcasing innovative AI applications; Taiwan Mobile wants to help businesses navigate through 'AI anxiety' while driving the implementation and feasibility of the AI with Purpose framework."
Thursday 13 March 2025
GUC announces successful launch of industry's first 32G UCIe silicon on TSMC 3nm and CoWoS technology
Global Unichip Corp. (GUC), the Advanced ASIC Leader, today announced the successful launch of the industry's first Universal Chiplet Interconnect Express (UCIe) PHY silicon, achieving a data rate of 32 Gbps per lane, the highest speed defined in the UCIe specification. The 32G UCIe IP, supporting UCIe 2.0, delivers an impressive bandwidth density of 10 Tbps per 1 mm of die edge (5 Tbps/mm full-duplex). This milestone was achieved using TSMC's advanced N3P process and CoWoS packaging technologies, targeting AI, high-performance computing (HPC), xPU, and networking applications.In this test chip, several dies with North-South and East-West IP orientations are interconnected through the CoWoS interposer. The silicon measurements show robust 32Gbps operation with wide horizontal and vertical eye openings. GUC is working aggressively on the full-corner qualification, and the complete silicon report is expected to be available in the coming quarter.To ensure seamless system integration, GUC has developed bridges for AXI, CXS, and CHI buses utilizing the UCIe Streaming Protocol. These bridges are optimized for high traffic density, low power consumption, minimal data transfer latency, and efficient end-to-end flow control – enabling an effortless transition from traditional single-chip Networks-on-Chip (NoC) to chiplet-based architectures. Additionally, the bridges support Dynamic Voltage and Frequency Scaling (DVFS), allowing real-time voltage and frequency adjustments independently of each die without interrupting data flow.GUC's UCIe IP also features advanced reliability capabilities, including UCIe Preventive Monitoring functionality and integrated I/O signal quality monitors from proteanTecs. This technology enables continuous, mission-mode monitoring of signal integrity during data transmission without the need for retraining or disrupting operations. Each signal lane is individually monitored, with real-time detection of power and signal integrity anomalies. Potential defects in bumps and traces are identified early, triggering repair algorithms that replace marginal I/Os with redundant ones to prevent system failures. This proactive approach significantly extends chip lifespan and enhances system reliability.Pushing the boundaries of performance, GUC is committed to further increasing lane speeds while reducing power consumption. GUC has successfully taped out its second-generation UCIe IP, achieving 40 Gbps per lane in late 2024.This new version incorporates Adaptive Voltage Scaling (AVS), delivering approximately 2x power efficiency improvements. In addition, a face-up version of the UCIe-40G IP, designed for 3D integration (SoIC) with Through-Silicon Vias (TSVs), is scheduled for tape-out in the coming months. Looking ahead, GUC's third-generation UCIe IP, capable of 64 Gbps per lane, is currently in development and slated for tape-out in the second half of this year. UCIe product line is optimized for all types of CoWoS and for future TSMC's SoW-X platform. "We are excited to announce the successful silicon bring-up of the world's first UCIe IP supporting 32 Gbps," said Aditya Raina, CMO of GUC."With a comprehensive, silicon-proven 2.5D/3D chiplet IP portfolio across TSMC's 7nm, 5nm, and 3nm process technologies, we deliver robust solutions that go beyond IP. Combined with our expertise in design, package engineering, electrical and thermal simulations, design-for-test (DFT), and production testing for TSMC's 3DFabric technologies, including CoWoS, InFO, TSMC-SoICR, we empower our customers to accelerate design cycles and achieve rapid bring-up of their AI, HPC, xPU, and networking products.""We are committed to delivering the highest performance and lowest power 2.5D/3D chiplet and HBM interface IPs," said Igor Elkanovich, CTO of GUC. "The convergence of 2.5D and 3D packaging technologies, leveraging HBM3E/4/4E, UCIe, and UCIe-3D interfaces, enables the development of highly modular processors that exceed reticle size limitations, paving the way for the next generation of high-performance computing."GUC UCIe-32G Silicon Highlights√ 32Gbps per lane√ Beachfront bandwidth density (full-duplex): 5Tbps/mm√ AXI, CXS and CHI bus bridges√ Dynamic Voltage and Frequency Scaling (DVFS)√ UCIe Preventive Monitoring: per lane, in-mission mode I/O signal quality monitoring by protean Tecs