CONNECT WITH US
NEWS TAGGED SERVER, IPC, CLOUD COMPUTING, IOT
Wednesday 1 November 2023
Cloud expansion hits snag: US providers grapple with slowing growth amidst AI and geopolitical shifts
Recent financial reports from the top three American public cloud service providers indicate a notable slowdown in revenue growth. This deceleration has raised questions within the...
Wednesday 1 November 2023
AMD expects MI300 to break sales record
AMD's latest financial results reflect a stabilizing PC market and a surging demand for AI chips. The company has also confirmed that it will deliver its MI300 series in the coming...
Tuesday 31 October 2023
South Korean AI startup Moreh partners with AMD to revolutionize cost-effective AI solutions
South Korean AI infrastructure solutions provider Moreh has secured investment from Advanced Micro Devices (AMD) and is now a strategic partner for the tech giant. The collaboration...
Tuesday 31 October 2023
Apple boasts M3 chip speed, but supply chain partners not seeing 'scary fast' orders
Apple Inc. launched its latest Mac products on the eve of Halloween at an event called "Scary Fast," emphasizing the speed of the processor being scary fast with the 3nm system on...
Tuesday 31 October 2023
Demand for LEO satellites to rise in next several years
The demand for low Earth orbit (LEO) satellites is on the rise. Supply chain sources revealed that Amazon has launched its "Project Kuiper." 360 LEO satellites are expected to be...
Monday 30 October 2023
SK Hynix 2024 HBM3/3E capacities completely snapped up
In view of the rapid growth of the AI market demand, SK Hynix has been increasing investments in products with high gross margins, such as High Bandwidth Memory (HBM) and DDR5. SK...
Monday 30 October 2023
Is Huawei stepping out of sanction shadow? Jan-Sept earnings and sales growth don't add up
Although Huawei's smartphone and car business success this year has been hailed as a turning point that left the impact of the US sanctions behind, Huawei's Q1-Q3 earnings numbers...
Monday 30 October 2023
Generative AI adoption on edge devices to outpace expectations, says Qualcomm SVP
Qualcomm's senior vice president of product management, Ziad Asghar, believes that the adoption of generative AI on edge devices will progress faster than people's imagination. He...
Monday 30 October 2023
Yicai: SIA urges prolonging comment period for AI chip export control updated rule
After the Biden Administration announced the latest update on 2023 semiconductor export controls on October 17, trade associations and American enterprises have expressed concerns...
Monday 30 October 2023
CCL maker's record-breaking quarterly revenue reflects growing AI server shipment
Thanks to the opportunities in the AI server market, one of the top three Taiwanese copper-clad laminate (CCL) manufacturers, Elite Material Co. (EMC), achieved a record-breaking...
Monday 30 October 2023
TSMC CoWoS capacity crucial to the AI GPU race between AMD and Nvidia
The ban on exports to China has been upgraded, not only expanding the range of AI chip specifications but also not giving Chinese companies time to stock up. It is worth noting that...
Monday 30 October 2023
GaAs foundry Win Semi swings to operating profit in 3Q23
Win Semiconductors, a Taiwanese GaAs foundry, swung to net profits of NT$34 million (US$1.05 million) in the third quarter after three straight quarters of losses.