CONNECT WITH US
NEWS TAGGED 2NM
Friday 23 February 2024
Samsung and Arm cooperate to optimize SoCs for GAA processes
Samsung Electronics will strengthen its collaboration with the silicon IP giant Arm, optimizing Arm's new generation System-on-Chip (SoC) IP to enhance Gate-All-Around (GAA) transistor...
Friday 23 February 2024
Arm Total Design ecosystem expands
The Arm Total Design ecosystem has attracted international heavyweight partners. Arm Taiwan president CK Tseng pointed out that the existing infrastructure is no longer sufficient...
Tuesday 20 February 2024
TSMC on track to move 2nm process to risk production in 4Q24
TSMC is on track to move 2nm process technology to risk production at its new fab on the Baoshan campus of the Hsinchu Science Park (HSP) in northern Taiwan in the fourth quarter...
Monday 19 February 2024
Samsung reportedly wins first 2nm order in the most advanced chip-making race
The most advanced chip manufacturing process competition has already begun before mass production. Samsung Electronics reportedly secured a 2nm AI chip order from Japan-based startup...
Friday 16 February 2024
Samsung hopes 2nm AP orders from Qualcomm can be key to overtaking TSMC
Qualcomm has reportedly commissioned Samsung Electronics to develop a trial product for its 2nm application processor (AP). Although mass production still has a considerable way to...
Tuesday 6 February 2024
TSMC unlikely to adopt High-NA EUV for 2nm, A14 processes
TSMC has not placed orders for high-numerical aperture (High-NA) extreme ultraviolet (EUV) tools and is unlikely to use the technology in 2nm and 1.4nm (A14) process manufacturing,...
Monday 5 February 2024
TSMC in no rush to embrace ASML's High-NA EUV technology
In December 2023, a report from research institution SemiAnalysis created a significant buzz by revealing Intel's adoption of High-Numerical Aperture (High-NA) Extreme Ultraviolet...
Friday 2 February 2024
Samsung focuses on HBM, confirms 2nm customer
Samsung has announced it will focus on High Bandwidth Memory (HBM).
Thursday 25 January 2024
Apple to obtain initial 2nm capacity from TSMC, sources say
TSMC, which only increases production capacity in response to significant customer orders, has recently established a production expansion strategy aimed at attaining 2nm GAA. Apple...
Monday 22 January 2024
Marvell Tech sees India as R&D center for advanced CMOS and other chips
Signaling its future growth trajectory, Marvell Technology has confirmed that the company is working on data infrastructure products enabled by technology platforms on 5, 3, and 2nm...
Monday 15 January 2024
TSMC reportedly achieves smooth implementation of GAA for 2nm process
Taiwan Semiconductor Manufacturing Company (TSMC) is on schedule to implement its 2-nanometer node with the Gate-All-Around (GAA) technology, according to its supply chain partners,...
Friday 29 December 2023
ASML's High-NA EUV technology: Shaping the future of sub-2nm chips as US pushes ahead in semiconductor race
At the close of 2023, it's reported that TSMC and Samsung will delay the mass production of 4nm chips at their new fabs in the US from 2024 to 2025, posing a challenge to the Biden...
Thursday 28 December 2023
Will high-NA EUVs become Intel's secret weapon to overtake Samsung and TSMC at 2nm node?
Intel has reportedly acquired 6 high-NA EUV lithography machines from ASML, which is scheduled for shipment in 2024, according to South Korean media outlets. Intel's fast adoption...
Wednesday 27 December 2023
TSMC's 5-year production plan in Taiwan covers 2nm, sub-2nm
TSMC has tentatively set its production plan in Taiwan from 2024 to 2029, covering 2nm that will primarily take place at its new fabs in Baoshan in northern Taiwan and Kaohsiung in...
Monday 18 December 2023
Rapidus aims for world's fastest fabrication services, targeting custom AI chips
Japan's chipmaking startup, Rapidus, is setting ambitious goals to mass-produce 2nm chips by 2027, aiming to provide the world's fastest chip manufacturing services, targeting clients...
Next-Gen Retail Revolution: The Power of Edge AI and Cloud Surveillance
Samsung expands mobile phone production beyond Asian countries, says DIGITIMES Research
SLMs to increase presence in GenAI business opportunities, says DIGITIMES Research
Generative AI market to reach US$1.5 trillion by 2030 with Taiwan holds hardware advantage; software and services to see promising future, says DIGITIMES Research